このブログの更新は Twitterアカウント @m_hiyama で通知されます。
Follow @m_hiyama

メールでのご連絡は hiyama{at}chimaira{dot}org まで。

はじめてのメールはスパムと判定されることがあります。最初は、信頼されているドメインから差し障りのない文面を送っていただけると、スパムと判定されにくいと思います。

参照用 記事

再帰代入系 2

  • 再帰代入系 2 : 途中だったのに追加をして、いちおうは完成です。

追加した後半部分は、置き換え(substitution)について調べています。退屈かもしれません。前半も多少は手直してしています。とりあえず、「『はじめに』の前に」、「はじめに」、「おわりに」を読んでもらえればいいかと。

なんでこういう記事が必要かというと、直接の動機はモジュール化です。モジュールを操作するときに、モジュールの外部表現(表層構文)やモジュールの意味論がわからないと操作できないのでは、ホントにどうにもならないのですわ。

OBJ系言語やMaude(モード)などの仕様記述言語では、モジュールシステムがモジュール代数を背景としてよく整備されています。それに近いことをやりたいのです。

ち、ちなみに、「OBJ Maude」でgoogle検索したら、日本語ページはたったの15件(雑音含む)。ウーム、、、さびしすぎる。